Energy-Efficient Black Hole Router Detection in Network-on-Chip
Author
Abstract

Network on Chip Security - The Network-on-Chip (NoC) is the communication heart in Multiprocessors System-on-Chip (MPSoC). It offers an efficient and scalable interconnection platform, which makes it a focal point of potential security threats. Due to outsourcing design, the NoC can be infected with a malicious circuit, known as Hardware Trojan (HT), to leak sensitive information or degrade the system’s performance and function. An HT can form a security threat by consciously dropping packets from the NoC, structuring a Black Hole Router (BHR) attack. This paper presents an end-to-end secure interconnection network against the BHR attack. The proposed scheme is energy-efficient to detect the BHR in runtime with 1\% and 2\% average throughput and energy consumption overheads, respectively.

Year of Publication
2022
Date Published
sep
Publisher
IEEE
Conference Location
Belfast, United Kingdom
ISBN Number
978-1-66545-985-3
URL
https://ieeexplore.ieee.org/document/9908078/
DOI
10.1109/SOCC56010.2022.9908078
Google Scholar | BibTeX | DOI