UVM based Verification of Read and Write Transactions in AXI4-Lite Protocol
Author
Abstract

Protocol Verification - The System-On-Chip (SoC) designs are becoming more complex nowadays. Multiple Intellectual Property (IPs) are integrated in a single SoC and these IPs communicate with the help of various bus protocols. Verification takes almost 70 \% time in design cycle hence re-usable verification environment of these commonly used protocols is very important. In this paper, AXI4-Lite protocol is verified using UVM based testbench structure. To verify all channels of AX I protocol, data is written into a 4-bit shift register and it is read back. The UVM testbench acts as a master device which will send all control information, data and address to the register through the AXI interface. To understand verification goal achievement, coverpoints are written and functional and code coverage reports are analyzed. The synopsys V CS tool is used for the simulation.

Year of Publication
2022
Date Published
jul
Publisher
IEEE
Conference Location
Mumbai, India
ISBN Number
978-1-66546-658-5
URL
https://ieeexplore.ieee.org/document/9864552/
DOI
10.1109/TENSYMP54529.2022.9864552
Google Scholar | BibTeX | DOI